From d5927616c9fa4feb4cf819c81e26536ca97b1c4e Mon Sep 17 00:00:00 2001 From: Brian Cully Date: Sun, 28 Jul 2019 11:51:29 -0400 Subject: Put word-aligned assert back in addr reg. --- usbh/src/pipe/addr.rs | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/usbh/src/pipe/addr.rs b/usbh/src/pipe/addr.rs index 98199d1..81cef5d 100644 --- a/usbh/src/pipe/addr.rs +++ b/usbh/src/pipe/addr.rs @@ -89,8 +89,7 @@ impl<'a> AddrW<'a> { pub unsafe fn bits(self, v: u32) -> &'a mut W { // Address must be 32-bit aligned. cf ยง32.8.7.2 of SAMD21 data // sheet. - - //assert!((v & 0x3) == 0); + assert!((v & 0x3) == 0); self.w.bits = v; self.w } -- cgit v1.2.3